top of page

[Chisel] Queue.enq.valid vs deq.valid


概要

Queueを使ってデータのやり取りをする場合、以下のような操作が可能です。

  • Queue.io.enq.valid:falseにするとデータを入れない

  • Queue.io.deq.valid:falseにするとデータを取り出さない


これらの使い分けについてまとめてみます



Queue.io.enq.valid

これは「ある条件が満たされたらデータを入れない」という場合にfalseにします。そもそもデータがキューに入らないので、取り出されて処理されることもありません。


Queue.io.deq.valid

これは「今はデータを取り出さない」という場合にfalseにします。逆言えばいつかは取り出します。

enq.validと違ってキューは占有されるので、取り出さないと次のデータは取り出せません。



使い分け

  • そもそも処理対象としての条件を満たしていない場合はenq.validをfalse

  • 処理対象ではあるが今は取り出さないという場合はdeq.readyをfalse


もちろん取り出し後に条件チェックして条件を満たしていなければ捨てる、ということもできますが、1サイクル無駄ですし、キューの領域も占有します。

最新記事

すべて表示

[Chisel]Whenの中は必ず評価される

現象 配列がある インデックスを表す変数が配列のサイズ内かをチェックし、サイズ内の場合のみ要素にアクセス というよくあることをやろうとした val array = Seq.fill(ARRAY_SIZE)(...) when(i.U < ARRAY_SIZE){ array(i-1) := ... } すると下記のエラーが発生 java.lang.IndexOutOfBoundsExcepti

[Chisel]ポリモーフィズムは使わない

やりたいこと 類似したユニットが複数ある これらの処理は大部分が共通で一部のみ異なる 条件に応じて適切なユニットを1つ選び、処理を実行させる やろうとしたこと これを実現するために 親クラスを定義し、共通処理はここに記述 各ユニットは上記を継承する子クラスとして定義し、独自の処理はここに記述 どのユニットに処理を実行させるかを決定 「実行するユニット」を表す変数を定義。型は親クラス。 選ばれたユニ

[Chisel]繰り返しを途中で抜ける

やりたいこと ★ 同種のモジュールが複数ある これらのうち、所定の条件を満たすものを1つ選択 これを実現するために モジュール一覧を配列で定義 Seq.tabulateでモジュール配列に対してループを回す 1つ1つ条件を満たすかチェック 満たせばそれを選択し、ループ終了 という高級言語では一般的なことをやろうとした しかし、chiselの文法ではループを回せてもそれを途中で抜ける(いわゆるbrea

あなたの買い物をサポートする
アプリ Shop Plan

iphone6.5p2.png

​いつ何を買うかの計画を立てられるアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

靴を大切にしよう!靴管理アプリ SHOES_KEEP

納品:iPhone6.5①.png

靴の履いた回数、お手入れ回数を管理するアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

「後で読む」を忘れないアプリ ArticleReminder

気になった​Webサイトを登録し、指定時刻にリマインダを送れるアプリです

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

お問い合わせ:Twitterまでお願いします

  • Twitter
bottom of page